Xilinx KC705 User Manual page 101

For the kintex-7 fpga
Hide thumbs Also See for KC705:
Table of Contents

Advertisement

KC705 Evaluation Board
UG810 (v1.6.2) August 26, 2015
set_property PACKAGE_PIN AA25 [get_ports XADC_GPIO_1]
set_property IOSTANDARD LVCMOS25 [get_ports XADC_GPIO_1]
set_property PACKAGE_PIN AB28 [get_ports XADC_GPIO_2]
set_property IOSTANDARD LVCMOS25 [get_ports XADC_GPIO_2]
set_property PACKAGE_PIN AA27 [get_ports XADC_GPIO_3]
set_property IOSTANDARD LVCMOS25 [get_ports XADC_GPIO_3]
set_property PACKAGE_PIN J24 [get_ports XADC_VAUX0N_R]
set_property IOSTANDARD LVCMOS25 [get_ports XADC_VAUX0N_R]
set_property PACKAGE_PIN J23 [get_ports XADC_VAUX0P_R]
set_property IOSTANDARD LVCMOS25 [get_ports XADC_VAUX0P_R]
set_property PACKAGE_PIN L23 [get_ports XADC_VAUX8N_R]
set_property IOSTANDARD LVCMOS25 [get_ports XADC_VAUX8N_R]
set_property PACKAGE_PIN L22 [get_ports XADC_VAUX8P_R]
set_property IOSTANDARD LVCMOS25 [get_ports XADC_VAUX8P_R]
#VADJ ON-OFF
set_property PACKAGE_PIN J27 [get_ports FMC_VADJ_ON_B_LS]
set_property IOSTANDARD LVCMOS25 [get_ports FMC_VADJ_ON_B_LS]
www.xilinx.com
KC705 Board XDC Listing
101
Send Feedback

Hide quick links:

Advertisement

Table of Contents
loading

Table of Contents