Fifo Variables ($Ac_Fifo) - Siemens SINUMERIK 828D Function Manual

Synchronized actions
Hide thumbs Also See for SINUMERIK 828D:
Table of Contents

Advertisement

Detailed description
2.3 System variables for synchronized actions
2.3.9

FIFO variables ($AC_FIFO)

Special data structures managed by the NC are provided via $AC_FIFO variables within the
R parameters. These are organized as ring buffers that work according to the FIFO principle
(First In, First Out).
Syntax
$AC_FIFO<number>[<index>]
$AC_FIFO[<number>, <index>]
Data type:
<Number>:
<Index>:
Meaning of the array indices
In addition to the array elements for the user data, a $AC_FIFO variable also contains
several array elements to manage the data. Each individual array element can be accessed
via the index.
The array elements with the indices 0 ... 5 are used to manage the $AC_FIFO variable:
Index
30
Corresponds to R parameter: REAL
Number of the $AC_FIFO variable: 1, 2, 3, ... max. number
Array index: 0, 1, 2, ... (max. number - 1)
Meaning
0
Index 0 has the following special meaning:
Array element 0 is not accessed with index 0.
Write: The "most recent" value is stored in the variable
Read: The "oldest" value is read from the variable
1
Write/read: The "oldest" array element is addressed
2
Write/read: The "most recent" array element is addressed
3
Read: Returns the sum of the values of all user data
Requirement: See paragraph below "Summation of all user data"
4
Read: Returns the number of the existing data items
A $AC_FIFO variable is reset to its initial state with:
$AC_FIFO<number>[4] = 0
5
Read: Returns the current write index, relative to the beginning of the $AC_FIFO variable
Function Manual, 07/2012, 6FC5397-5BP40-3BA0
Synchronized actions

Advertisement

Table of Contents
loading

This manual is also suitable for:

Sinumerik 840d slSinumerik 840de sl

Table of Contents