Page 1
ProASIC3/E Starter Kit User’s Guide and Tutorial...
Page 2
No part of this document may be copied or reproduced in any form or by any means without prior written consent of Actel. Actel makes no warranties with respect to this documentation and disclaims any implied warranties of merchantability or fitness for a particular purpose. Information in this document is subject to change without notice.
This user’s guide assumes: • You intend to use Actel Libero IDE software. • You have installed and are familiar with Actel Libero IDE v6.2 SP1 or later software. • You are familiar with VHDL. • You are familiar with PCs and Windows operating systems.
Contents and System Requirements This chapter details the contents of the ProASIC3/E Starter Kit and lists the power supply and software system requirements. Starter Kit Contents The starter kit includes the following: • ProsASIC3/E Evaluation Board • Libero IDE Gold •...
Hardware Components This chapter describes the hardware components of the ProASIC3/E Evaluation Board. ProASIC3/E Evaluation Board Figure 2-1 on page 10 illustrates a top-level view of the ProASIC3/E Evaluation Board. The ProASIC3/E Evaluation Board consists of the following: • Wall mount power supply connector, with switch and LED indicator •...
Page 10
Full schematics are available on the Starter Kit Tutorial CD that is supplied with the Starter Kit. The schematics are also available for download from the Actel website. The electronic versions of the dedicated schematics can naturally be enlarged to a far greater degree than can be shown in the printed version of this manual or even in the electronic version of this manual, hence the interested reader is referred to the dedicated schematics to see the appropriate level of detail.
A 9 V power supply is provided with the kit (Figure 2-2). There are many power supply components in the starter kit board to illustrate the many ways that differing voltage banks may be supported with ProASIC3 and ProASIC3E ProASIC3/E Starter Kit User’s Guide and Tutorial...
Page 12
Regulator Figure 2-2. Power Supply Block Diagram To use the ProASIC3/E Evaluation board with a wall mount power supply, use the switching brick power supply that is provided with the kit. The external +9 V positive center power supply provided to the board via connector J16 goes to a voltage regulator chip U11 on the evaluation board.
Page 13
Disconnect the jumper at JP10 on all boards. This jumper can be used to provide VJTAG to a downstream board or to some element in the design that you wish to supply with the VJTAG voltage used by the ProASIC3/E component. The shunt that is normally in this location can be safely stored across pins 11 and 12, or 9 and 10 of the J12 daughter card power supply connector.
Page 14
To determine if the board is a Rev3 board: A Rev3 Board is recognized by examining the front of the board and looking for the part number just beneath the large Actel corporate logo on the board top silk-screen. The part number will be A3PE-A3P-EVAL-BRD1 followed by REV3.
Programming the A3PE-A3P-EVAL-BRD1 with a FlashPro3 Programming the A3PE-A3P-EVAL-BRD1 with a FlashPro3 The base board used for all ProASIC3/E starter kits is the A3PE-A3P-EVAL-BRD1. In an A3PE-PROTO-KIT, the particular board is an A3PE-EVAL-BRD600-SKT. In an A3PE-EVAL-KIT, the board is an A3PE-EVAL-BRD600-SA. The only difference between these two is that the –SKT indicates that the board is fitted with an A3PE600-PQ208 part, which is mounted in a PQ208 screw-down socket.
Page 16
Gerbers and other board views as needed. Pictures of the layers of the board are also attached in Appendix C of this User’s Guide. For your convenience, high-resolution PDFs of these layers are also provided on the Starter Kit CD. ProASIC3/E Starter Kit User’s Guide and Tutorial...
“Signal Layers” on page Clock Circuits The ProASIC3/E Evaluation Board has two clock circuits: a 40 MHz oscillator and a manual clock. 40 MHz Oscillator The 40 MHz oscillator on the board is a 10 ppm stability crystal module which will give good LVDS performance.
The interconnection details between the FPGA and the LCD module are listed in Table 2-4 on page Table 2-4 · FPGA – LCD Interconnections FPGA Pin No. LCD Pin No. LCD Pin Name R / ~W (Read / ~Write) RS (Register Select) E (Enable) ProASIC3/E Starter Kit User’s Guide and Tutorial...
The LVDS signals are terminated on J40 and J41 connectors so that a standard patch cable can be used for doing loop- back testing. Refer to Figure 2-1 on page 10 of the PA3 evaluation board schematics for schematic representation of connector signal details. ProASIC3/E Starter Kit User’s Guide and Tutorial...
Page 21
CAT-5E SECONDARY are reversed so as to allow a standard patch cable to check loopback on these LVDS signals. A 1-foot CAT5 standard patch cable supplied with the PA3 evaluation kit can be used for LVDS signals loopback. ProASIC3/E Starter Kit User’s Guide and Tutorial...
Page 22
3. J41 – RJ45 connector is referred as CAT 5E SECONDARY connector Refer to the PCB layout, Figure 2-1 on page 10, for the location of J40 and J41 connectors on the PA3 Evaluation board. ProASIC3/E Starter Kit User’s Guide and Tutorial...
For Libero IDE software installation instructions, refer to the Actel Libero IDE / Designer Installation and Licensing http://www.actel.com/documents/install_ug.pdf. Guide: Hardware Installation FlashPro3 is required to use the ProASIC3/E Starter Kit. For software and hardware installation instructions, refer to FlashPro User’s Guide: http://www.actel.com/documents/flashpro_ug.pdf Testing the Evaluation Board Refer to “Test Procedures for Board Testing”...
Page 26
Manual clock (very useful for simulation) Select for DATA_BLOCK. It allows switching LED Press SW6 output between the counter and Flashing data. Change Hex Switch setting (U13 and Changes the loaded data for the eight-bit counter. U14) ProASIC3/E Starter Kit User’s Guide and Tutorial...
Page 27
The state diagram is shown in Figure 4-3. write1 setmode2 home1 setmode1 home2 clear2 warmup clear1 setfund Figure 4-3. LCD State Diagram ProASIC3/E Starter Kit User’s Guide and Tutorial...
(e.g., 300 Mb/s data rate from 150 MHz clock). The output of the DDR registers is sent out using the LVDS I/O standard. The output data is looped back and received by the FPGA using LVDS receivers. ProASIC3/E Starter Kit User’s Guide and Tutorial...
LVDS signal across the 100 Ohm termination resistor at 300 Mb/s. Figure 5-2 shows that the eye height across the termination is about 275 mV which is well within the LVDS spec. Figure 5-2. LVDS Signal across RX Termination at 300Mb/s ProASIC3/E Starter Kit User’s Guide and Tutorial...
Actel VHDL ProASIC3/E Design Flow This chapter introduces the design flow for VHDL using the Actel Libero IDE software suite. This chapter also briefly describes how to use the software tools and provides information about the sample design. Figure 6-1 shows the VHDL-based design flow.
Libero IDE. ModelSim for Actel is an OEM edition of Model Technology Incorporated (MTI) tools. ModelSim for Actel supports VHDL or Verilog, but it can only simulate one language at a time. It only works with Actel libraries and is supported by Actel.
For more information on the tools described in the above section, refer to the Designer User’s Guide. Programming Program the device with programming software and hardware from Actel or a supported third party programming system. Refer to the Designer User’s Guide, Silicon Sculptor User’s Guide, and FlashPro User’s Guide for information about programming an Actel device.
Quick Start Tutorial This tutorial illustrates a VHDL design for a ProASIC3/E starter kit board. The design is created in Actel Libero IDE v6.2. The steps involved are as follows: “Step 1 – Create a New Project” “Step 2 – Perform Pre-Synthesis Simulation”...
Page 36
Select your project Family, Die, and Package. For this tutorial, you can select ProASIC3E, the A3PE600 die, and 208 PQFP for the package (Figure 7-2), or select ProASIC3, the A3P250 die, and 208 PQFP for the package (Figure 7-3). Figure 7-2. Select A3PE600-PQ208 Figure 7-3. Select A3P250-PQ208 ProASIC3/E Starter Kit User’s Guide and Tutorial...
Page 37
10. Name your profile, select a tool from the list of Libero IDE supported tools, and Browse to the Location of your tool. Click OK to return to the New Project Wizard. 11. After you have selected your tools, click Next to continue. ProASIC3/E Starter Kit User’s Guide and Tutorial...
Page 38
Your Libero IDE project exists, but you must add code or source to the project, such as a schematic, an ACTgen core, or a VHDL entity or package file, before you can run synthesis. ProASIC3/E Starter Kit User’s Guide and Tutorial...
Page 39
Data : in std_logic_vector(7 downto 0)); end count8; architecture behavioral of count8 is signal Qaux : UNSIGNED(7 downto 0); begin process(Clock, Aclr) begin if (Aclr = '1') then Qaux <= (others => '0'); ProASIC3/E Starter Kit User’s Guide and Tutorial...
Page 40
From the File menu, click Save. The design file counter appears in the Design Hierarchy. Libero IDE lists count8.vhd under HDL files in the File Manager, as shown in Figure 7-9. Figure 7-9. Design Hierarchy ProASIC3/E Starter Kit User’s Guide and Tutorial...
Create Stimulus Using WaveFormer Lite WaveFormer Lite generates VHDL testbenches from drawn waveforms. There are three basic steps for creating testbenches using WaveFormer Lite and the Actel Libero IDE software: Import Signal Information ProASIC3/E Starter Kit User’s Guide and Tutorial...
Page 42
Click the mouse button. This draws a waveform from the end of the signal to the mouse cursor. The red state button on the button bar determines the type of waveform drawn. The cursor shape also mirrors the red state button. Move the mouse to the right and click again to draw another segment. ProASIC3/E Starter Kit User’s Guide and Tutorial...
Page 43
Place At is the time at which the block will be pasted. The Insert and Overwrite radio buttons determine whether the paste block is inserted into the existing waveforms or overwrites those waveforms. ProASIC3/E Starter Kit User’s Guide and Tutorial...
Page 44
20 MHz value for the Clock. Right-click the Clock signal to select it. Select Signal(s) <-> Clock(s) to create the clock signal (Figure 7-15). Figure 7-15. Create Clock Signal in Waveformer Lite ProASIC3/E Starter Kit User’s Guide and Tutorial...
Page 45
Figure 7-17. WaveForm Timing Diagram Click the HIGH state button before you start to draw the SW4 waveform. If you have not selected any other state buttons since you drew the clock waveform, you may continue. ProASIC3/E Starter Kit User’s Guide and Tutorial...
Page 46
ModelSim. Alternatively, you can create a testbench using the HDL editor. To create a testbench using the HDL editor: From the File menu, select New. This opens the New File dialog box. ProASIC3/E Starter Kit User’s Guide and Tutorial...
Page 47
Right-click Top.vhd in the Design Hierarchy tab and choose Organize Stimulus, as shown in Figure 7-20. Figure 7-20. Organize Stimulus Files The Organize Stimulus dialog box appears (Figure 7-21). Figure 7-21. Organize Stimulus Dialog Box ProASIC3/E Starter Kit User’s Guide and Tutorial...
Page 48
Figure 7-23. ModelSim Main Window Once the compilation completes, the simulator simulates for the default time period of 1000 ns and a Wave window, shown in Figure 7-24 on page 49, opens to display the simulation results. ProASIC3/E Starter Kit User’s Guide and Tutorial...
Step 3 – Synthesize the Design in Synplify The next step is to generate an EDIF netlist by synthesizing the design in Synplify. For HDL designs, Libero IDE launches and loads Synplicity Synplify synthesizer with the appropriate design files. ProASIC3/E Starter Kit User’s Guide and Tutorial...
Page 50
From the Project menu, select Implementation Options. This displays the Options for the Implementation dialog box, as shown in Figure 7-26 for A3PE600 and Figure 7-27 for A3P250. Figure 7-26. Options for Implementation Dialog Box-A3PE600 ProASIC3/E Starter Kit User’s Guide and Tutorial...
Click the Simulation icon in the Libero IDE Design Flow window, or right-click the Top.vhd file in the Design Hierarchy tab and select Run Post-Synthesis Simulation. This launches the ModelSim Simulator that compiles the source file and testbench. ProASIC3/E Starter Kit User’s Guide and Tutorial...
Step 5 – Implement the Design with Designer After creating and testing the design, the next phase is implementing the Design using the Actel Designer software. Click Designer Place-and-Route in the Libero IDE Design Flow window, or right-click Top.vhd in the Design Hierarchy tab and select Run Designer.
Page 53
Select A3P250 in the Die field and select 208 PQFP in the Package field. Accept the default Speed grade and Die Voltage and click Next. Use the default I/O settings and click Next. Use the default Junction Temperature and Voltage setup and click Finish. Figure 7-30. Device Selection Wizard—A3P250 ProASIC3/E Starter Kit User’s Guide and Tutorial...
Page 54
See the Libero IDE or Designer online help for more information on the I/O Attribute Editor or MultiView Navigator. Figure 7-32. I/O Attribute Editor in MultiView Navigator Assign a pin number to all of the signals, then select Commit from the File menu and close the I/O Attribute Editor. ProASIC3/E Starter Kit User’s Guide and Tutorial...
Page 55
Timer, set the timing constraints in Timer, analyze the static and dynamic power with SmartPower, and use the ChipPlanner to assign modules. Click the appropriate icon to access these tools. For more information on these functions, refer to the Designer or Libero online help. ProASIC3/E Starter Kit User’s Guide and Tutorial...
Page 56
Figure 7-35. Layout Options Dialog Box 13. Click OK to accept the default layout options. This runs place-and-route on the design. The Layout icon turns green to indicate that the layout has successfully completed. ProASIC3/E Starter Kit User’s Guide and Tutorial...
From the ModelSim menu, select Simulate > Run > Run All to execute the full simulation time defined in the testbench. Scroll in the Wave window to verify that the counter works correctly. Use the zoom buttons to zoom in and out as necessary. ProASIC3/E Starter Kit User’s Guide and Tutorial...
PC USB slot, connect the ribbon cable to the programming header on the target board, and turn on the power switch on the board. To set up FlashPro3: From the Actel FlashPro software File menu, click Connect. The FlashPro Connect to Programmer dialog box displays, as shown in Figure 7-38.
Page 59
In the Port list, select the USB port. The FlashPro3 programmer is connected as shown in Figure 7-39. Figure 7-39. Connect to Programmer Dialog Box for ProASIC3/E Devices In the Configuration list, select ProASIC3/E. Click Connect. A successful connection, or any error, appears in the Log window, as shown in Figure 7-40.
Page 60
Select the A3PE600 or the A3P250 from the Device list. If only one device is present in the chain, performing Analyze Chain will select that device automatically from the Device list. Loading the STAPL File The FlashPro3 programmer uses a STAPL (*.stp) file to program the device. ProASIC3/E Starter Kit User’s Guide and Tutorial...
Page 61
The FlashPro Log window will display a message indicating that the software has successfully loaded, as shown in Figure 7-44. Figure 7-44. STAPL File Load Successfully Note: If your board has an A3PE600 device, you will see A3PE600 in the Device list. ProASIC3/E Starter Kit User’s Guide and Tutorial...
Page 62
Programs security settings into the device. Displays the Device IDCODE, security settings, design name, checksum, DEVICE_INFO and FlashROM content that is programmed into the device. Programming the Device To program the device: In the Action list, select PROGRAM. ProASIC3/E Starter Kit User’s Guide and Tutorial...
Page 63
If you have an A3PE600 device on board, you will see A3PE600 in the Device list. Verifying the Correct Programming To verify the device is programmed with the correct STAPL file: Load the STAPL file. In the Action list, click Verify. ProASIC3/E Starter Kit User’s Guide and Tutorial...
Page 64
If you have an A3PE600 device on board, you will see A3PE600 in the Device list. Saving Your Log File All FlashPro3 results are displayed in the Log window. Save these results into a file. ProASIC3/E Starter Kit User’s Guide and Tutorial...
Page 65
Select a directory, type in the file name, and click Save. The FlashPro software saves the file. Check Functionality of Tutorial Design After programming the device, you will see “ACTEL A3PE STARTER KIT” display on the LCD panel as well as flashing LEDs. There are 6 switches (SW) equipped with special functions.
Test Procedures for Board Testing Overview This document defines the test procedure required to be carried out by the Actel designated manufacturer's testing facility on the ProASIC3/E Evaluation Board with silkscreen labeling A3PE-A3P-EVAL-BRD-1. This testing is specific to the socketed version of the board. All steps in the following enumerated test procedure should be followed in sequence for testing the board.
Page 68
Make sure that pin 1 of the FPGA is oriented correctly. (The Actel logo on the part should match the orientation of the Actel logo on the board just above the A3PE-A3P-BRD1 part number.) Take great care to make sure all pins are in correct alignment so that the FPGA is on a level plane parallel to the board.
Page 69
Remove the silicon from the socket and place it in the safe silicon holding area. 17. This concludes the testing of the board. Switch SW11 to the OFF position and remove the power connector from J18. ProASIC3/E Starter Kit User’s Guide and Tutorial...
ProASIC3E Flash Family FPGAs datasheet at www.actel.com/documents/PA3E_DS.pdf These datasheets are included on the ProASIC3 and ProASIC3E Starter Kit CD. However, the website should always be referenced for access to the most recent datasheet. ProASIC3/E Starter Kit User’s Guide and Tutorial...
ProASIC3/E Evaluation Board. ProASIC3 Schematics The last pages of this appendix show the following illustrations of the ProASIC3/E Starter Kit Board in order. Figure B-3: Main 3.3 V, 2.5 V and 1.5 V Power...
Page 82
Figure B-1. Top-Level View of ProASIC3/E Evaluation Board ProASIC3/E Starter Kit User’s Guide and Tutorial...
Page 83
ProASIC3 Schematics Figure B-2. Bottom-Level View of ProASIC3/E Evaluation Board ProASIC3/E Starter Kit User’s Guide and Tutorial...
Page 84
Figure B-3. Main 3.3 V, 2.5 V and 1.5 V Power ProASIC3/E Starter Kit User’s Guide and Tutorial...
Layer 4 – Signal layer 4, used for LVDS transmit and other signals Layer 5 – Power plane Layer 6 – Bottom signal layer Refer to Figure C-1 on page 94 through Figure C-7 on page 100. ProASIC3/E Starter Kit User’s Guide and Tutorial...
Page 94
Figure C-1. Layer 1 – Top Signal Layer ProASIC3/E Starter Kit User’s Guide and Tutorial...
Fax, from anywhere in the world 650.318.8044 Actel Customer Technical Support Center Actel staffs its Customer Technical Support Center with highly skilled engineers who can help answer your hardware, software, and design questions. The Customer Technical Support Center spends a great deal of time creating application notes and answers to FAQs.
Page 102
., Pacific Time, Monday through Friday. The Technical Support numbers are: 650.318.4460 800.262.1060 Customers needing assistance outside the US time zones can either contact technical support via email (tech@actel.com) or contact a local sales office. Sales office listings can be found at www.actel.com/contact/offices/index.html.
23 test bench exporting 44 test file LED device connections 17 programming 23 Libero IDE design flow Timer 55 design creation timing simulation 57 adding ACTgen macros 32 design capture 32 ProASIC3/E Starter Kit User’s Guide and Tutorial...
Page 104
Index VHDL APA design flow 31 WaveFormer Lite 41 waveforms 42 web-based technical support 101 ProASIC3/E Starter Kit User’s Guide and Tutorial...
Page 106
Phone 650.318.4200 • Fax 650.318.4600 • Customer Service: 650.318.1010 • Customer Applications Center: 800.262.1060 Actel Europe Ltd. • River Court, Meadows Business Park • Station Approach, Blackwater • Camberley Surrey GU17 9AB • United Kingdom Phone +44 (0) 1276 609 300 • Fax +44 (0) 1276 607 540 Actel Japan •...
Need help?
Do you have a question about the ProASIC3/E and is the answer not in the manual?
Questions and answers